pico-bmc/hardware/kicad/pico_bmc.kicad_sch

3495 lines
63 KiB
Plaintext
Raw Permalink Normal View History

2024-05-09 18:46:51 +00:00
(kicad_sch
(version 20231120)
(generator "eeschema")
(generator_version "8.0")
(uuid "927df5e2-df8e-4e30-9d8a-08459a671f19")
(paper "A4")
(lib_symbols
(symbol "Connector:Conn_01x02_Pin"
(pin_names
(offset 1.016) hide)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "J"
(at 0 2.54 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Value" "Conn_01x02_Pin"
(at 0 -5.08 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "~"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Generic connector, single row, 01x02, script generated"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_locked" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "ki_keywords" "connector"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_fp_filters" "Connector*:*_1x??_*"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "Conn_01x02_Pin_1_1"
(polyline
(pts
(xy 1.27 -2.54) (xy 0.8636 -2.54)
)
(stroke
(width 0.1524)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 1.27 0) (xy 0.8636 0)
)
(stroke
(width 0.1524)
(type default)
)
(fill
(type none)
)
)
(rectangle
(start 0.8636 -2.413)
(end 0 -2.667)
(stroke
(width 0.1524)
(type default)
)
(fill
(type outline)
)
)
(rectangle
(start 0.8636 0.127)
(end 0 -0.127)
(stroke
(width 0.1524)
(type default)
)
(fill
(type outline)
)
)
(pin passive line
(at 5.08 0 180)
(length 3.81)
(name "Pin_1"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin passive line
(at 5.08 -2.54 180)
(length 3.81)
(name "Pin_2"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "2"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
)
(symbol "Device:R"
(pin_numbers hide)
(pin_names
(offset 0)
)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "R"
(at 2.032 0 90)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Value" "R"
(at 0 0 90)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at -1.778 0 90)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "~"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Resistor"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_keywords" "R res resistor"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_fp_filters" "R_*"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "R_0_1"
(rectangle
(start -1.016 -2.54)
(end 1.016 2.54)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
)
(symbol "R_1_1"
(pin passive line
(at 0 3.81 270)
(length 1.27)
(name "~"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin passive line
(at 0 -3.81 90)
(length 1.27)
(name "~"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "2"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
)
(symbol "MCU_Module_RaspberryPi_Pico:RaspberryPi_Pico_W_SecondaryPins"
(pin_names
(offset 0.889)
)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "U"
(at -19.05 38.1 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Value" "RaspberryPi_Pico_W_SecondaryPins"
(at 7.62 38.1 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Footprint" "Module_RaspberryPi_Pico:RaspberryPi_Pico_W_SMD"
(at 0 -49.53 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "https://datasheets.raspberrypi.com/picow/pico-w-datasheet.pdf"
(at 0 -52.07 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Versatile and inexpensive wireless microcontroller module (with full pinout for test point and debug connections) powered by RP2040 dual-core Arm Cortex-M0+ processor up to 133 MHz, 264kB SRAM, 2MB QSPI flash, Infineon CYW43439 2.4GHz 802.11n wireless LAN"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_keywords" "Raspberry Pi Pico microcontroller module RP2040 M0+ usb CYW43439 wireless wifi bluetooth"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_fp_filters" "RaspberryPi_Pico_W_SMD*"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "RaspberryPi_Pico_W_SecondaryPins_0_1"
(rectangle
(start -19.05 36.83)
(end 19.05 -34.29)
(stroke
(width 0.254)
(type default)
)
(fill
(type background)
)
)
(polyline
(pts
(xy 0 36.83) (xy 1.27 36.195) (xy 1.27 34.29) (xy 1.905 34.29)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 1.905 34.29) (xy 3.175 35.56) (xy 3.175 33.02) (xy 1.905 34.29)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 5.08 36.83) (xy 3.81 36.195) (xy 3.81 34.29) (xy 3.175 34.29)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 1.651 35.306) (xy 1.651 35.56) (xy 1.905 35.56) (xy 1.905 33.02) (xy 2.159 33.02) (xy 2.159 33.274)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
)
(symbol "RaspberryPi_Pico_W_SecondaryPins_1_1"
(pin bidirectional line
(at -22.86 22.86 0)
(length 3.81)
(name "GPIO0"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C0_SDA" bidirectional line)
(alternate "PWM0_A" output line)
(alternate "SPI0_RX" input line)
(alternate "UART0_TX" output line)
(alternate "USB_OVCUR_DET" input line)
)
(pin bidirectional line
(at -22.86 5.08 0)
(length 3.81)
(name "GPIO7"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "10"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C1_SCL" bidirectional clock)
(alternate "PWM3_B" bidirectional line)
(alternate "SPI0_TX" output line)
(alternate "UART1_RTS" output line)
(alternate "USB_VBUS_DET" input line)
)
(pin bidirectional line
(at -22.86 2.54 0)
(length 3.81)
(name "GPIO8"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "11"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C0_SDA" bidirectional line)
(alternate "PWM4_A" output line)
(alternate "SPI1_RX" input line)
(alternate "UART1_TX" output line)
(alternate "USB_VBUS_EN" output line)
)
(pin bidirectional line
(at -22.86 0 0)
(length 3.81)
(name "GPIO9"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "12"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C0_SCL" bidirectional clock)
(alternate "PWM4_B" bidirectional line)
(alternate "UART1_RX" input line)
(alternate "USB_OVCUR_DET" input line)
(alternate "~{SPI1_CSn}" bidirectional line)
)
(pin power_out line
(at 0 -38.1 90)
(length 3.81)
(name "GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "13"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "GND_IN" power_in line)
)
(pin bidirectional line
(at -22.86 -2.54 0)
(length 3.81)
(name "GPIO10"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "14"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C1_SDA" bidirectional line)
(alternate "PWM5_A" output line)
(alternate "SPI1_SCK" bidirectional clock)
(alternate "UART1_CTS" input line)
(alternate "USB_VBUS_DET" input line)
)
(pin bidirectional line
(at -22.86 -5.08 0)
(length 3.81)
(name "GPIO11"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "15"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C1_SCL" bidirectional clock)
(alternate "PWM5_B" bidirectional line)
(alternate "SPI1_TX" output line)
(alternate "UART1_RTS" output line)
(alternate "USB_VBUS_EN" output line)
)
(pin bidirectional line
(at -22.86 -7.62 0)
(length 3.81)
(name "GPIO12"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "16"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C0_SDA" bidirectional line)
(alternate "PWM6_A" output line)
(alternate "SPI1_RX" input line)
(alternate "UART0_TX" output line)
(alternate "USB_OVCUR_DET" input line)
)
(pin bidirectional line
(at -22.86 -10.16 0)
(length 3.81)
(name "GPIO13"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "17"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C0_SCL" bidirectional clock)
(alternate "PWM6_B" bidirectional line)
(alternate "UART0_RX" input line)
(alternate "USB_VBUS_DET" input line)
(alternate "~{SPI1_CSn}" bidirectional line)
)
(pin passive line
(at 0 -38.1 90)
(length 3.81) hide
(name "GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "18"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin bidirectional line
(at -22.86 -12.7 0)
(length 3.81)
(name "GPIO14"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "19"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C1_SDA" bidirectional line)
(alternate "PWM7_A" output line)
(alternate "SPI1_SCK" bidirectional clock)
(alternate "UART0_CTS" input line)
(alternate "USB_VBUS_EN" output line)
)
(pin bidirectional line
(at -22.86 20.32 0)
(length 3.81)
(name "GPIO1"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "2"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C0_SCL" bidirectional clock)
(alternate "PWM0_B" bidirectional line)
(alternate "UART0_RX" input line)
(alternate "USB_VBUS_DET" passive line)
(alternate "~{SPI0_CSn}" bidirectional line)
)
(pin bidirectional line
(at -22.86 -15.24 0)
(length 3.81)
(name "GPIO15"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "20"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C1_SCL" bidirectional clock)
(alternate "PWM7_B" bidirectional line)
(alternate "SPI1_TX" output line)
(alternate "UART0_RTS" output line)
(alternate "USB_OVCUR_DET" input line)
)
(pin bidirectional line
(at 22.86 15.24 180)
(length 3.81)
(name "GPIO16"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "21"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C0_SDA" bidirectional line)
(alternate "PWM0_A" output line)
(alternate "SPI0_RX" input line)
(alternate "UART0_TX" output line)
(alternate "USB_VBUS_DET" input line)
)
(pin bidirectional line
(at 22.86 12.7 180)
(length 3.81)
(name "GPIO17"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "22"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C0_SCL" bidirectional clock)
(alternate "PWM0_B" bidirectional line)
(alternate "UART0_RX" input line)
(alternate "USB_VBUS_EN" output line)
(alternate "~{SPI0_CSn}" bidirectional line)
)
(pin passive line
(at 0 -38.1 90)
(length 3.81) hide
(name "GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "23"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin bidirectional line
(at 22.86 10.16 180)
(length 3.81)
(name "GPIO18"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "24"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C1_SDA" bidirectional line)
(alternate "PWM1_A" output line)
(alternate "SPI0_SCK" bidirectional clock)
(alternate "UART0_CTS" input line)
(alternate "USB_OVCUR_DET" input line)
)
(pin bidirectional line
(at 22.86 7.62 180)
(length 3.81)
(name "GPIO19"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "25"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C1_SCL" bidirectional clock)
(alternate "PWM1_B" bidirectional line)
(alternate "SPI0_TX" output line)
(alternate "UART0_RTS" output line)
(alternate "USB_VBUS_DET" input line)
)
(pin bidirectional line
(at 22.86 5.08 180)
(length 3.81)
(name "GPIO20"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "26"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "CLOCK_GPIN0" input clock)
(alternate "I2C0_SDA" bidirectional line)
(alternate "PWM2_A" output line)
(alternate "SPI0_RX" input line)
(alternate "UART1_TX" output line)
(alternate "USB_VBUS_EN" output line)
)
(pin bidirectional line
(at 22.86 2.54 180)
(length 3.81)
(name "GPIO21"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "27"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "CLOCK_GPOUT0" output clock)
(alternate "I2C0_SCL" bidirectional clock)
(alternate "PWM2_B" bidirectional line)
(alternate "UART1_RX" input line)
(alternate "USB_OVCUR_DET" input line)
(alternate "~{SPI0_CSn}" bidirectional line)
)
(pin passive line
(at 0 -38.1 90)
(length 3.81) hide
(name "GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "28"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin bidirectional line
(at 22.86 0 180)
(length 3.81)
(name "GPIO22"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "29"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "CLOCK_GPIN1" input clock)
(alternate "I2C1_SDA" bidirectional line)
(alternate "PWM3_A" output line)
(alternate "SPI0_SCK" bidirectional clock)
(alternate "UART1_CTS" input line)
(alternate "USB_VBUS_DET" input line)
)
(pin passive line
(at 0 -38.1 90)
(length 3.81) hide
(name "GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "3"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at -22.86 -20.32 0)
(length 3.81)
(name "RUN"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "30"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "~{RESET}" input line)
)
(pin bidirectional line
(at 22.86 -10.16 180)
(length 3.81)
(name "GPIO26_ADC0"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "31"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "ADC0" input line)
(alternate "GPIO26" bidirectional line)
(alternate "I2C1_SDA" bidirectional line)
(alternate "PWM5_A" output line)
(alternate "SPI1_SCK" bidirectional clock)
(alternate "UART1_CTS" input line)
(alternate "USB_VBUS_EN" output line)
)
(pin bidirectional line
(at 22.86 -12.7 180)
(length 3.81)
(name "GPIO27_ADC1"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "32"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "ADC1" input line)
(alternate "GPIO27" bidirectional line)
(alternate "I2C1_SCL" bidirectional clock)
(alternate "PWM5_B" bidirectional line)
(alternate "SPI1_TX" output line)
(alternate "UART1_RTS" output line)
(alternate "USB_OVCUR_DET" input line)
)
(pin power_in line
(at 22.86 -20.32 180)
(length 3.81)
(name "AGND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "33"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "GND" power_in line)
)
(pin bidirectional line
(at 22.86 -15.24 180)
(length 3.81)
(name "GPIO28_ADC2"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "34"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "ADC2" input line)
(alternate "GPIO28" bidirectional line)
(alternate "I2C0_SDA" bidirectional line)
(alternate "PWM6_A" output line)
(alternate "SPI1_RX" input line)
(alternate "UART0_TX" output line)
(alternate "USB_VBUS_DET" input line)
)
(pin power_in line
(at 22.86 -5.08 180)
(length 3.81)
(name "ADC_VREF"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "35"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin power_out line
(at -5.08 40.64 270)
(length 3.81)
(name "3V3"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "36"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at -22.86 -22.86 0)
(length 3.81)
(name "3V3_EN"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "37"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "~{3V3_DISABLE}" input line)
)
(pin passive line
(at 0 -38.1 90)
(length 3.81) hide
(name "GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "38"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin power_in line
(at 0 40.64 270)
(length 3.81)
(name "VSYS"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "39"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "VSYS_OUT" power_out line)
)
(pin bidirectional line
(at -22.86 17.78 0)
(length 3.81)
(name "GPIO2"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "4"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C1_SDA" bidirectional line)
(alternate "PWM1_A" output line)
(alternate "SPI0_SCK" bidirectional clock)
(alternate "UART0_CTS" input line)
(alternate "USB_VBUS_DET" input line)
)
(pin power_out line
(at 5.08 40.64 270)
(length 3.81)
(name "VBUS"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "40"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "VBUS_HOST" power_in line)
)
(pin bidirectional line
(at -22.86 15.24 0)
(length 3.81)
(name "GPIO3"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "5"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C1_SCL" bidirectional clock)
(alternate "PWM1_B" bidirectional line)
(alternate "SPI0_TX" output line)
(alternate "UART0_RTS" output line)
(alternate "USB_OVCUR_DET" input line)
)
(pin bidirectional line
(at -22.86 12.7 0)
(length 3.81)
(name "GPIO4"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "6"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C0_SDA" bidirectional line)
(alternate "PWM2_A" output line)
(alternate "SPI0_RX" input line)
(alternate "UART1_TX" output line)
(alternate "USB_VBUS_DET" input line)
)
(pin bidirectional line
(at -22.86 10.16 0)
(length 3.81)
(name "GPIO5"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "7"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C0_SCL" bidirectional clock)
(alternate "PWM2_B" bidirectional line)
(alternate "UART1_RX" input line)
(alternate "USB_VBUS_EN" output line)
(alternate "~{SPI0_CSn}" bidirectional line)
)
(pin passive line
(at 0 -38.1 90)
(length 3.81) hide
(name "GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "8"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin bidirectional line
(at -22.86 7.62 0)
(length 3.81)
(name "GPIO6"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "9"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "I2C1_SDA" bidirectional line)
(alternate "PWM3_A" output line)
(alternate "SPI0_SCK" bidirectional clock)
(alternate "UART1_CTS" input line)
(alternate "USB_OVCUR_DET" input line)
)
(pin input clock
(at -22.86 30.48 0)
(length 3.81)
(name "SWCLK"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "D1"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin passive line
(at 0 -38.1 90)
(length 3.81) hide
(name "GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "D2"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin bidirectional line
(at -22.86 27.94 0)
(length 3.81)
(name "SWDIO"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "D3"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin power_out line
(at 22.86 25.4 180)
(length 3.81)
(name "USB_GND"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "TP1"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "USB_HOST_GND" power_in line)
)
(pin bidirectional line
(at 22.86 27.94 180)
(length 3.81)
(name "USB_DM"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "TP2"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin bidirectional line
(at 22.86 30.48 180)
(length 3.81)
(name "USB_DP"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "TP3"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin no_connect line
(at 22.86 -27.94 180)
(length 3.81)
(name "~{SMPS_PS}"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "TP4"
(effects
(font
(size 1.27 1.27)
)
)
)
(alternate "GPIO23_UNSAFE" output line)
(alternate "~{SMPS_PS_UNSAFE}" output line)
)
(pin output line
(at 22.86 20.32 180)
(length 3.81)
(name "LED_OUT"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "TP5"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at -22.86 -27.94 0)
(length 3.81)
(name "~{BOOTSEL}"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "TP6"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
)
(symbol "Simulation_SPICE:NMOS"
(pin_numbers hide)
(pin_names
(offset 0)
)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "Q"
(at 5.08 1.27 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Value" "NMOS"
(at 5.08 -1.27 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Footprint" ""
(at 5.08 2.54 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "https://ngspice.sourceforge.io/docs/ngspice-html-manual/manual.xhtml#cha_MOSFETs"
(at 0 -12.7 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "N-MOSFET transistor, drain/source/gate"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Device" "NMOS"
(at 0 -17.145 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Type" "VDMOS"
(at 0 -19.05 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Pins" "1=D 2=G 3=S"
(at 0 -15.24 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_keywords" "transistor NMOS N-MOS N-MOSFET simulation"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "NMOS_0_1"
(polyline
(pts
(xy 0.254 0) (xy -2.54 0)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0.254 1.905) (xy 0.254 -1.905)
)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0.762 -1.27) (xy 0.762 -2.286)
)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0.762 0.508) (xy 0.762 -0.508)
)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0.762 2.286) (xy 0.762 1.27)
)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 2.54 2.54) (xy 2.54 1.778)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 2.54 -2.54) (xy 2.54 0) (xy 0.762 0)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0.762 -1.778) (xy 3.302 -1.778) (xy 3.302 1.778) (xy 0.762 1.778)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 1.016 0) (xy 2.032 0.381) (xy 2.032 -0.381) (xy 1.016 0)
)
(stroke
(width 0)
(type default)
)
(fill
(type outline)
)
)
(polyline
(pts
(xy 2.794 0.508) (xy 2.921 0.381) (xy 3.683 0.381) (xy 3.81 0.254)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 3.302 0.381) (xy 2.921 -0.254) (xy 3.683 -0.254) (xy 3.302 0.381)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(circle
(center 1.651 0)
(radius 2.794)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
(circle
(center 2.54 -1.778)
(radius 0.254)
(stroke
(width 0)
(type default)
)
(fill
(type outline)
)
)
(circle
(center 2.54 1.778)
(radius 0.254)
(stroke
(width 0)
(type default)
)
(fill
(type outline)
)
)
)
(symbol "NMOS_1_1"
(pin passive line
(at 2.54 5.08 270)
(length 2.54)
(name "D"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at -5.08 0 0)
(length 2.54)
(name "G"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "2"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin passive line
(at 2.54 -5.08 90)
(length 2.54)
(name "S"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "3"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
)
(symbol "Simulation_SPICE:PMOS"
(pin_numbers hide)
(pin_names
(offset 0)
)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "Q"
(at 5.08 1.27 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Value" "PMOS"
(at 5.08 -1.27 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Footprint" ""
(at 5.08 2.54 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "https://ngspice.sourceforge.io/docs/ngspice-html-manual/manual.xhtml#cha_MOSFETs"
(at 0 -12.7 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "P-MOSFET transistor, drain/source/gate"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Device" "PMOS"
(at 0 -17.145 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Type" "VDMOS"
(at 0 -19.05 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Pins" "1=D 2=G 3=S"
(at 0 -15.24 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_keywords" "transistor PMOS P-MOS P-MOSFET simulation"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "PMOS_0_1"
(polyline
(pts
(xy 0.254 0) (xy -2.54 0)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0.254 1.905) (xy 0.254 -1.905)
)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0.762 -1.27) (xy 0.762 -2.286)
)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0.762 0.508) (xy 0.762 -0.508)
)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0.762 2.286) (xy 0.762 1.27)
)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 2.54 2.54) (xy 2.54 1.778)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 2.54 -2.54) (xy 2.54 0) (xy 0.762 0)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 0.762 1.778) (xy 3.302 1.778) (xy 3.302 -1.778) (xy 0.762 -1.778)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 2.286 0) (xy 1.27 0.381) (xy 1.27 -0.381) (xy 2.286 0)
)
(stroke
(width 0)
(type default)
)
(fill
(type outline)
)
)
(polyline
(pts
(xy 2.794 -0.508) (xy 2.921 -0.381) (xy 3.683 -0.381) (xy 3.81 -0.254)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(polyline
(pts
(xy 3.302 -0.381) (xy 2.921 0.254) (xy 3.683 0.254) (xy 3.302 -0.381)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
(circle
(center 1.651 0)
(radius 2.794)
(stroke
(width 0.254)
(type default)
)
(fill
(type none)
)
)
(circle
(center 2.54 -1.778)
(radius 0.254)
(stroke
(width 0)
(type default)
)
(fill
(type outline)
)
)
(circle
(center 2.54 1.778)
(radius 0.254)
(stroke
(width 0)
(type default)
)
(fill
(type outline)
)
)
)
(symbol "PMOS_1_1"
(pin passive line
(at 2.54 5.08 270)
(length 2.54)
(name "D"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at -5.08 0 0)
(length 2.54)
(name "G"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "2"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin passive line
(at 2.54 -5.08 90)
(length 2.54)
(name "S"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "3"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
)
(symbol "power:GND"
(power)
(pin_numbers hide)
(pin_names
(offset 0) hide)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "#PWR"
(at 0 -6.35 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Value" "GND"
(at 0 -3.81 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Power symbol creates a global label with name \"GND\" , ground"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_keywords" "global power"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0) (xy 0 -1.27) (xy 1.27 -1.27) (xy 0 -2.54) (xy -1.27 -1.27) (xy 0 -1.27)
)
(stroke
(width 0)
(type default)
)
(fill
(type none)
)
)
)
(symbol "GND_1_1"
(pin power_in line
(at 0 0 270)
(length 0)
(name "~"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
)
)
(no_connect
(at 140.97 59.69)
(uuid "03972c76-43de-4d06-b764-16775e56d9c8")
)
(no_connect
(at 123.19 80.01)
(uuid "062ae843-f898-41b0-a22c-dc09d0f74401")
)
(no_connect
(at 123.19 97.79)
(uuid "0df8042e-b3dd-4759-99b8-563a97c881c9")
)
(no_connect
(at 123.19 107.95)
(uuid "10b2a4dc-f538-4f6f-8f88-b91265044ddb")
)
(no_connect
(at 168.91 95.25)
(uuid "10dda62b-ce05-4214-8945-9b01cd766300")
)
(no_connect
(at 123.19 113.03)
(uuid "1a44fa14-f54a-4d1d-aa3e-97437258c8d8")
)
(no_connect
(at 123.19 120.65)
(uuid "27c61742-1da0-44c1-9aac-b7ae6a80bca1")
)
(no_connect
(at 123.19 102.87)
(uuid "34637ae9-26a6-4c54-b2ce-ffae00cbf1d1")
)
(no_connect
(at 168.91 110.49)
(uuid "3c686e80-5877-4fe7-b7bb-540f3326e7c7")
)
(no_connect
(at 123.19 92.71)
(uuid "3d0f4dad-90b3-45c7-86ab-74120111d696")
)
(no_connect
(at 168.91 120.65)
(uuid "42e8e804-af8b-4399-a66b-a05ad97edb20")
)
(no_connect
(at 123.19 72.39)
(uuid "46f9e645-589c-4005-8e4c-33223c865fe0")
)
(no_connect
(at 123.19 105.41)
(uuid "4c3da590-2466-4af2-80eb-9406bce85567")
)
(no_connect
(at 168.91 74.93)
(uuid "4cf5de36-3e2f-4866-8d5c-7ddd0be35cbd")
)
(no_connect
(at 168.91 85.09)
(uuid "5eb8d987-4df8-426f-8172-fb2ae76806b8")
)
(no_connect
(at 168.91 87.63)
(uuid "640ec68a-e982-43a3-9e7d-65904d115d3c")
)
(no_connect
(at 123.19 110.49)
(uuid "68ef100d-25be-47cb-81a8-2e0c4c94499c")
)
(no_connect
(at 123.19 69.85)
(uuid "74544ade-5d76-4afc-8f5e-47f19e3082ef")
)
(no_connect
(at 123.19 123.19)
(uuid "77175e26-f597-47ce-bcd5-594f1b2b195e")
)
(no_connect
(at 168.91 69.85)
(uuid "79c86544-a136-44f0-aff9-6acca18e24a8")
)
(no_connect
(at 123.19 87.63)
(uuid "79eb8aac-4d7a-40c1-ae81-27c8b67c36eb")
)
(no_connect
(at 123.19 90.17)
(uuid "7cd38c76-b832-432b-974a-3baac868e732")
)
(no_connect
(at 123.19 77.47)
(uuid "7cf6f3f4-6b06-42db-b013-19e44df7113b")
)
(no_connect
(at 168.91 80.01)
(uuid "811512be-9a1f-4a00-85b7-8aafde793885")
)
(no_connect
(at 123.19 95.25)
(uuid "8830ccff-6da4-4ae3-8e33-9f6fd754a303")
)
(no_connect
(at 168.91 92.71)
(uuid "a2cc38d7-0dfb-4e13-bc98-90203f3b1cd2")
)
(no_connect
(at 123.19 82.55)
(uuid "a38584da-90b2-45f0-9195-c7fb333ea20d")
)
(no_connect
(at 123.19 115.57)
(uuid "b4ac3b39-21f8-4d1f-9d41-cc4b48c214e4")
)
(no_connect
(at 168.91 115.57)
(uuid "b9b86008-3087-401b-92c6-a21502249ca4")
)
(no_connect
(at 168.91 97.79)
(uuid "b9b8fc2d-bd3c-4c9c-b1b8-d59ab7b746fa")
)
(no_connect
(at 168.91 105.41)
(uuid "be6e8e71-11c3-40a9-bf1c-ed72aba640a4")
)
(no_connect
(at 168.91 72.39)
(uuid "d1d22d07-2061-4629-9895-680d25ab859c")
)
(no_connect
(at 168.91 113.03)
(uuid "d8f1ad52-7ca7-4744-b3bc-a50884fd86c4")
)
(no_connect
(at 123.19 85.09)
(uuid "dbf9b19d-a25d-450f-8130-37a582221b72")
)
(no_connect
(at 123.19 128.27)
(uuid "e96b06e2-aeb3-4a38-acc4-7126bcdff02b")
)
(no_connect
(at 168.91 90.17)
(uuid "ed13f714-60a1-4d1e-9222-8487e402d2c9")
)
(no_connect
(at 151.13 59.69)
(uuid "ee9aafcb-85f8-4e07-9146-7a9fef2eee86")
)
(no_connect
(at 146.05 59.69)
(uuid "fce2ec2c-d2d0-4417-885e-b3c48c5a8f77")
)
(wire
(pts
(xy 55.88 82.55) (xy 71.12 82.55)
)
(stroke
(width 0)
(type default)
)
(uuid "1f040fe9-ceca-4dda-9d24-011df4e48ec7")
)
(wire
(pts
(xy 78.74 77.47) (xy 90.17 77.47)
)
(stroke
(width 0)
(type default)
)
(uuid "2bbaedce-2b80-4205-9cc5-a845612aa6e0")
)
(wire
(pts
(xy 101.6 77.47) (xy 97.79 77.47)
)
(stroke
(width 0)
(type default)
)
(uuid "82d06e23-9b03-4a82-9c20-af51c29f838e")
)
(wire
(pts
(xy 97.79 113.03) (xy 101.6 113.03)
)
(stroke
(width 0)
(type default)
)
(uuid "98b955cf-f8f0-45a4-8a72-71348659f0fe")
)
(wire
(pts
(xy 55.88 107.95) (xy 78.74 107.95)
)
(stroke
(width 0)
(type default)
)
(uuid "9aec4c2d-f117-4cd8-be98-75f7791f26d2")
)
(wire
(pts
(xy 86.36 113.03) (xy 90.17 113.03)
)
(stroke
(width 0)
(type default)
)
(uuid "c821b84a-a265-40f4-a4a8-28faf1c48e1b")
)
(global_label "PW_STATE_SIG"
(shape input)
(at 123.19 100.33 180)
(fields_autoplaced yes)
(effects
(font
(size 1.27 1.27)
)
(justify right)
)
(uuid "46ec3ec5-fb2c-44ff-8ebf-1cde60c94386")
(property "Intersheetrefs" "${INTERSHEET_REFS}"
(at 107.0816 100.33 0)
(effects
(font
(size 1.27 1.27)
)
(justify right)
(hide yes)
)
)
)
(global_label "PW_SWITCH_SIG"
(shape input)
(at 168.91 100.33 0)
(fields_autoplaced yes)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
(uuid "4c77b8f9-f80e-4d11-9d71-87ec58ce383d")
(property "Intersheetrefs" "${INTERSHEET_REFS}"
(at 186.4699 100.33 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
(hide yes)
)
)
)
(global_label "PW_SWITCH_SIG"
(shape input)
(at 101.6 113.03 0)
(fields_autoplaced yes)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
(uuid "ee6eb9ee-8d9f-4d64-aa07-77e37e1e3c67")
(property "Intersheetrefs" "${INTERSHEET_REFS}"
(at 119.1599 113.03 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
(hide yes)
)
)
)
(global_label "PW_STATE_SIG"
(shape input)
(at 101.6 77.47 0)
(fields_autoplaced yes)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
(uuid "fb18b103-7c34-47c2-a512-4cd6ec2c562b")
(property "Intersheetrefs" "${INTERSHEET_REFS}"
(at 117.7084 77.47 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
(hide yes)
)
)
)
(symbol
(lib_id "MCU_Module_RaspberryPi_Pico:RaspberryPi_Pico_W_SecondaryPins")
(at 146.05 100.33 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "05f475aa-1879-4c3d-aa77-8d0f069ab284")
(property "Reference" "U1"
(at 148.2441 137.16 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Value" "RaspberryPi_Pico_W_SecondaryPins"
(at 148.2441 139.7 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Footprint" "Module_RaspberryPi_Pico:RaspberryPi_Pico_W_SMD"
(at 146.05 149.86 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "https://datasheets.raspberrypi.com/picow/pico-w-datasheet.pdf"
(at 146.05 152.4 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Versatile and inexpensive wireless microcontroller module (with full pinout for test point and debug connections) powered by RP2040 dual-core Arm Cortex-M0+ processor up to 133 MHz, 264kB SRAM, 2MB QSPI flash, Infineon CYW43439 2.4GHz 802.11n wireless LAN"
(at 146.05 100.33 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "17"
(uuid "54817490-e4ab-4b19-af50-2a8a8aa95b02")
)
(pin "26"
(uuid "f67afc18-ddb5-4592-adcd-310f97791c66")
)
(pin "18"
(uuid "ca52473b-42b4-4901-a3f9-ca76e512f50b")
)
(pin "32"
(uuid "32125e1a-84be-4ef0-b47a-efb5e8574308")
)
(pin "13"
(uuid "b184e9d0-023b-4cd2-b252-d97aa1dc9ac8")
)
(pin "9"
(uuid "97cd7c75-8e3f-4e05-b8b8-94abe378faa4")
)
(pin "1"
(uuid "145df5c2-3e45-4f18-b682-8897e21ac818")
)
(pin "40"
(uuid "df4804fd-8199-4c01-b628-219098e31091")
)
(pin "11"
(uuid "79fcdd92-ed23-4b07-837a-f69b45b6d4eb")
)
(pin "2"
(uuid "f5ab49d1-2155-44cf-b596-128aba2d8a3e")
)
(pin "35"
(uuid "fd737da1-babd-4177-9500-7aec8338ab3c")
)
(pin "10"
(uuid "71d14ce2-93f6-4073-ab11-b21fcd9bacbe")
)
(pin "22"
(uuid "2cafa632-4dd9-4bcd-969e-197e25c05703")
)
(pin "8"
(uuid "cdf82e56-b623-4752-98f7-3ec611d0ee78")
)
(pin "5"
(uuid "24510b6a-e371-43de-9cf9-9a676e80d4c3")
)
(pin "7"
(uuid "d11d6592-5f8c-447b-af3c-31b268d0d7fc")
)
(pin "34"
(uuid "73829e59-de36-4c7c-a3a8-2f0f0dc1310d")
)
(pin "3"
(uuid "39050e14-6591-4558-8d38-50c6e543b1cd")
)
(pin "30"
(uuid "94a99a53-6656-4783-989c-13ae8bf1d4aa")
)
(pin "36"
(uuid "f4ea5549-7c17-4bc1-a090-6668a8832d53")
)
(pin "6"
(uuid "f3604d3b-0365-4a50-86c3-e9809a671107")
)
(pin "21"
(uuid "d32886ca-75a3-4db5-a9b7-8ee7ae46fa14")
)
(pin "20"
(uuid "638d6fdf-31df-405c-a1c2-49b3673b27e0")
)
(pin "24"
(uuid "96ed7ae0-035b-4356-8b8c-10bcacbb5a2b")
)
(pin "27"
(uuid "18682749-873e-429e-84fe-58c6d4ce5bea")
)
(pin "33"
(uuid "be58c9a4-6ee3-406e-9936-af8823b4aaee")
)
(pin "38"
(uuid "4e1eaa90-29cf-4476-904a-46473d9fb354")
)
(pin "4"
(uuid "4f82e638-eb97-47bf-8e5b-c3baf75bdba0")
)
(pin "12"
(uuid "35da956f-0a92-4102-a057-b1ab1bd5f94c")
)
(pin "29"
(uuid "06a8a68a-e122-4cdb-b7b9-58745c41843f")
)
(pin "23"
(uuid "78c1b4be-b61c-43a4-979a-e84c7b19245e")
)
(pin "25"
(uuid "304780cc-04b0-4c32-ae1f-f87b97542982")
)
(pin "28"
(uuid "60f78a24-32b3-48d1-be34-728c4ef11944")
)
(pin "37"
(uuid "7a0c0203-4102-465e-8a28-50f2ff2c6224")
)
(pin "31"
(uuid "8df47197-f758-4add-a653-324fc1045f84")
)
(pin "14"
(uuid "f64f9c40-694a-4c52-9311-12391efce864")
)
(pin "39"
(uuid "2170188e-c2cf-4e83-8555-015a29e03bb6")
)
(pin "15"
(uuid "7ad41091-fc1b-4bd0-89dc-9dda5d7802b9")
)
(pin "19"
(uuid "1cd57139-35f7-4087-9ebd-b98624c0bbac")
)
(pin "16"
(uuid "e0a1943a-ac77-46b9-818b-256e406a8633")
)
(pin "D1"
(uuid "422692a6-ab90-4318-8f14-65d960882f77")
)
(pin "D2"
(uuid "8130d48d-5657-44ec-8dee-815ba38deef0")
)
(pin "TP1"
(uuid "3c9a97b5-43ba-47b7-8bee-f051f13ace85")
)
(pin "TP4"
(uuid "d9a96f78-74ab-4557-8867-90d82ad1bbb9")
)
(pin "TP3"
(uuid "63708de4-a833-4a3f-b840-153193402600")
)
(pin "TP2"
(uuid "d9736a7d-94a4-43c1-af41-0ec5c3ad1d54")
)
(pin "D3"
(uuid "0ca9ede0-d6f0-455a-85ff-030034a92821")
)
(pin "TP5"
(uuid "cfeb0556-dc82-467b-a940-8d86ae65e716")
)
(pin "TP6"
(uuid "dc56de44-bab7-42d9-ae8a-86f1672926bb")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "U1")
(unit 1)
)
)
)
)
(symbol
(lib_id "power:GND")
(at 78.74 87.63 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "16a548c4-0af6-452b-a0e7-e99207f7faf1")
(property "Reference" "#PWR03"
(at 78.74 93.98 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Value" "GND"
(at 78.74 92.71 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 78.74 87.63 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 78.74 87.63 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Power symbol creates a global label with name \"GND\" , ground"
(at 78.74 87.63 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "e55c877a-ca20-4073-b05e-5fc30d6b5ac0")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "#PWR03")
(unit 1)
)
)
)
)
(symbol
(lib_id "power:GND")
(at 55.88 110.49 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "3eab3cdd-1520-420a-b07b-1f0ddf42a936")
(property "Reference" "#PWR01"
(at 55.88 116.84 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Value" "GND"
(at 55.88 115.57 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 55.88 110.49 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 55.88 110.49 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Power symbol creates a global label with name \"GND\" , ground"
(at 55.88 110.49 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "715162c5-8424-4ffe-bf4e-172a456d8e6c")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "#PWR01")
(unit 1)
)
)
)
)
(symbol
(lib_id "Simulation_SPICE:NMOS")
(at 76.2 82.55 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "4ba8e784-f80c-4a18-83b1-74acbc1ad4b1")
(property "Reference" "Q1"
(at 82.55 81.2799 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Value" "NMOS"
(at 82.55 83.8199 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Footprint" "Package_TO_SOT_SMD:SOT-23"
(at 81.28 80.01 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "https://ngspice.sourceforge.io/docs/ngspice-html-manual/manual.xhtml#cha_MOSFETs"
(at 76.2 95.25 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "N-MOSFET transistor, drain/source/gate"
(at 76.2 82.55 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Device" "NMOS"
(at 76.2 99.695 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Type" "VDMOS"
(at 76.2 101.6 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Pins" "1=D 2=G 3=S"
(at 76.2 97.79 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "2"
(uuid "06e5710e-763a-478e-94cb-e90e90b1d072")
)
(pin "1"
(uuid "7b44a8d8-c31d-4c42-a9d7-bf674e54d757")
)
(pin "3"
(uuid "a380ee9e-fb95-4ce5-8b27-7d997bebca3d")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "Q1")
(unit 1)
)
)
)
)
(symbol
(lib_id "Connector:Conn_01x02_Pin")
(at 50.8 107.95 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "6e35b85b-de31-4dd7-acf6-1a2f182ecd41")
(property "Reference" "J2"
(at 51.435 102.87 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Value" "PW_SWITCH"
(at 51.435 105.41 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" "Connector_PinHeader_2.00mm:PinHeader_1x02_P2.00mm_Vertical"
(at 50.8 107.95 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "~"
(at 50.8 107.95 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Generic connector, single row, 01x02, script generated"
(at 50.8 107.95 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "7921a056-2618-405f-9e31-3b56a0fd105e")
)
(pin "2"
(uuid "34a438b3-dc68-4d55-bdb1-ea6b3cccdc8f")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "J2")
(unit 1)
)
)
)
)
(symbol
(lib_id "Device:R")
(at 93.98 77.47 90)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "717f129e-4fe2-44a7-92db-7e33fa126dd0")
(property "Reference" "R1"
(at 93.98 71.12 90)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Value" "1k"
(at 93.98 73.66 90)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" "Resistor_SMD:R_0805_2012Metric"
(at 93.98 79.248 90)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "~"
(at 93.98 77.47 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Resistor"
(at 93.98 77.47 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "69eaa0bd-ddb1-4e1f-90dc-8c9eebc64d3b")
)
(pin "2"
(uuid "6b615fd0-3a82-4885-9a4e-7f0e50c8be46")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "R1")
(unit 1)
)
)
)
)
(symbol
(lib_id "Connector:Conn_01x02_Pin")
(at 50.8 82.55 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "74433553-770c-4815-8553-4d029f0f25d9")
(property "Reference" "J1"
(at 51.435 77.47 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Value" "PW_STATE"
(at 51.435 80.01 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" "Connector_PinHeader_2.00mm:PinHeader_1x02_P2.00mm_Vertical"
(at 50.8 82.55 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "~"
(at 50.8 82.55 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Generic connector, single row, 01x02, script generated"
(at 50.8 82.55 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "2"
(uuid "657ab6f5-f5be-4c00-a873-cecc3863d157")
)
(pin "1"
(uuid "b3fad98e-cefa-4983-b433-4664a8d0b759")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "J1")
(unit 1)
)
)
)
)
(symbol
(lib_id "Device:R")
(at 93.98 113.03 90)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "83b0d015-c16a-4d1e-a7d7-454c6eaf9679")
(property "Reference" "R2"
(at 93.98 106.68 90)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Value" "1k"
(at 93.98 109.22 90)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" "Resistor_SMD:R_0805_2012Metric"
(at 93.98 114.808 90)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "~"
(at 93.98 113.03 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Resistor"
(at 93.98 113.03 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "01cf4b62-2e75-445d-8805-eaa7dda21f4c")
)
(pin "2"
(uuid "65bd75b8-94a4-4772-8190-f7e01980d920")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "R2")
(unit 1)
)
)
)
)
(symbol
(lib_id "power:GND")
(at 55.88 85.09 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "99099b58-e124-433d-aa20-7757f7f2f75f")
(property "Reference" "#PWR02"
(at 55.88 91.44 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Value" "GND"
(at 55.88 90.17 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 55.88 85.09 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 55.88 85.09 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Power symbol creates a global label with name \"GND\" , ground"
(at 55.88 85.09 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "487c595d-a6a6-4e92-8d1d-f7fa024a7015")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "#PWR02")
(unit 1)
)
)
)
)
(symbol
(lib_id "Simulation_SPICE:PMOS")
(at 81.28 113.03 180)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "cceedf57-fa7b-482b-9957-473e53fda80c")
(property "Reference" "Q2"
(at 74.93 111.7599 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Value" "PMOS"
(at 74.93 114.2999 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Footprint" "Package_TO_SOT_SMD:SOT-23"
(at 76.2 115.57 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" "https://ngspice.sourceforge.io/docs/ngspice-html-manual/manual.xhtml#cha_MOSFETs"
(at 81.28 100.33 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "P-MOSFET transistor, drain/source/gate"
(at 81.28 113.03 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Device" "PMOS"
(at 81.28 95.885 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Type" "VDMOS"
(at 81.28 93.98 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Sim.Pins" "1=D 2=G 3=S"
(at 81.28 97.79 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "e9f67618-3eaf-430d-b9fa-db591bdc6f88")
)
(pin "2"
(uuid "b13bf8e8-d66e-44e2-8abf-c7bee7be5478")
)
(pin "3"
(uuid "d3807652-01f4-4c73-a66d-72ae9f2e2116")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "Q2")
(unit 1)
)
)
)
)
(symbol
(lib_id "power:GND")
(at 146.05 138.43 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "e447204f-0a9e-4efa-8d6a-799d5c1967af")
(property "Reference" "#PWR05"
(at 146.05 144.78 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Value" "GND"
(at 146.05 143.51 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 146.05 138.43 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 146.05 138.43 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Power symbol creates a global label with name \"GND\" , ground"
(at 146.05 138.43 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "b086afdd-9c86-4058-86a1-37a5751717fc")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "#PWR05")
(unit 1)
)
)
)
)
(symbol
(lib_id "power:GND")
(at 78.74 118.11 0)
(unit 1)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(dnp no)
(fields_autoplaced yes)
(uuid "f3c415e1-3137-4de0-bd4b-e7d7a2d2f93c")
(property "Reference" "#PWR04"
(at 78.74 124.46 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Value" "GND"
(at 78.74 123.19 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 78.74 118.11 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 78.74 118.11 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "Power symbol creates a global label with name \"GND\" , ground"
(at 78.74 118.11 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(pin "1"
(uuid "1b13e971-e2cc-4749-875e-602eeb9b260b")
)
(instances
(project "pico_bmc"
(path "/927df5e2-df8e-4e30-9d8a-08459a671f19"
(reference "#PWR04")
(unit 1)
)
)
)
)
(sheet_instances
(path "/"
(page "1")
)
)
)