Add files via upload

This commit is contained in:
jlevine18 2019-09-22 23:22:21 -05:00 committed by GitHub
parent 3cc4cf2f23
commit 06a07bb983

View File

@ -25,7 +25,7 @@ __all__ = [
'take_all_pwrs',
'set_device',
'LinearRegKernel',
'SigmoidalRegKernel'.
'SigmoidalRegKernel',
'LogRegKernel',
'PolyRegKernel',
'ExpRegKernel',