fix program3_tb formatting

This commit is contained in:
Arthur Lu 2022-08-19 20:24:19 -07:00
parent dd4f8ef9f8
commit 550a72588d

View File

@ -2,49 +2,46 @@
// testbench for programmable message decryption, space removal (Program #3) // testbench for programmable message decryption, space removal (Program #3)
// CSE141L // CSE141L
// runs program 2 (decrypt a message), but with corruption // runs program 2 (decrypt a message), but with corruption
module program3_tb () ; module program3_tb ();
logic clk = 1'b0 , // advances simulation step-by-step logic clk = 1'b0; // advances simulation step-by-step
init = 1'b1 , // init (reset) command to DUT logic init = 1'b1; // init (reset) command to DUT
start = 1'b1 ; // req (start program) command to DUT logic start = 1'b1; // req (start program) command to DUT
wire done ; // done flag returned by DUT wire done; // done flag returned by DUT
logic[3:0] pre_length ; // space char. bytes before first char. in message logic[3:0] pre_length; // space char. bytes before first char. in message
logic[7:0] message1[49] , // original raw message, in binary logic[7:0] message1[49]; // original raw message, in binary
msg_padded1[80], // original message, plus pre- and post-padding w/ ASCII spaces logic[7:0] msg_padded1[80]; // original message, plus pre- and post-padding w/ ASCII spaces
msg_crypto1[64]; // encrypted message according to the DUT logic[7:0] msg_crypto1[64]; // encrypted message according to the DUT
logic[6:0] lfsr_ptrn , // chosen one of 9 maximal length 7-tap shift reg. ptrns logic[6:0] lfsr_ptrn; // chosen one of 9 maximal length 7-tap shift reg. ptrns
LFSR_ptrn[9] , // the 9 candidate maximal-length 7-bit LFSR tap ptrns logic[6:0] LFSR_ptrn[9]; // the 9 candidate maximal-length 7-bit LFSR tap ptrns
lfsr1[64] , // states of program 1 encrypting LFSR logic[6:0] lfsr1[64]; // states of program 1 encrypting LFSR
LFSR_init ; // one of 127 possible NONZERO starting states logic[6:0] LFSR_init; // one of 127 possible NONZERO starting states
int score ; // count of correct encyrpted characters int score; // count of correct encyrpted characters
// our original American Standard Code for Information Interchange message follows
// note in practice your design should be able to handle ANY ASCII string that is
// restricted to characters between space (0x20) and script f (0x9f) and shorter than
// 53 characters in length
string str1 = " four score and seven years ago..."; // sample program 1 input
// string str1 = " Knowledge comes, but wisdom lingers. "; // alternative inputs
// string str1 = " 01234546789abcdefghijklmnopqrstuvwxyz. "; // (make up your own,
// string str1 = " f A joke is a very serious thing."; // as well)
// string str1 = " Ajok "; //
// string str1 = " Knowledge comes, but wisdom lingers. "; //
// displayed encrypted string will go here: // our original American Standard Code for Information Interchange message follows
string str_enc1[64]; // program 1 desired output will go here // note in practice your design should be able to handle ANY ASCII string that is
int strlen; // incoming string length // restricted to characters between space (0x20) and script f (0x9f) and shorter than
int pt_no; // select LFSR pattern, value 0 through 8 // 53 characters in length
int file_no; // write to file string str1 = " four score and seven years ago...";
int space; // counts leading space characters in message
logic[5:0] flipper; // corruptor -- bit flip // displayed encrypted string will go here:
logic[79:0] flipped = 80'b0; // tracks which word got a bit flipped string str_enc1[64]; // program 1 desired output will go here
// the 8 possible maximal-length feedback tap patterns from which to choose int strlen; // incoming string length
assign LFSR_ptrn[0] = 7'h60; // 110_0000 int pt_no; // select LFSR pattern, value 0 through 8
assign LFSR_ptrn[1] = 7'h48; int file_no; // write to file
assign LFSR_ptrn[2] = 7'h78; int space; // counts leading space characters in message
assign LFSR_ptrn[3] = 7'h72; logic [5:0] flipper; // corruptor -- bit flip
assign LFSR_ptrn[4] = 7'h6A; logic [79:0] flipped = 80'b0; // tracks which word got a bit flipped
assign LFSR_ptrn[5] = 7'h69;
assign LFSR_ptrn[6] = 7'h5C; // the 9 possible maximal-length feedback tap patterns from which to choose
assign LFSR_ptrn[7] = 7'h7E; assign LFSR_ptrn[0] = 7'h60; // 110_0000
assign LFSR_ptrn[8] = 7'h7B; assign LFSR_ptrn[1] = 7'h48;
assign LFSR_ptrn[2] = 7'h78;
assign LFSR_ptrn[3] = 7'h72;
assign LFSR_ptrn[4] = 7'h6A;
assign LFSR_ptrn[5] = 7'h69;
assign LFSR_ptrn[6] = 7'h5C;
assign LFSR_ptrn[7] = 7'h7E;
assign LFSR_ptrn[8] = 7'h7B;
always_comb begin always_comb begin
pt_no = $urandom_range(0, 8); pt_no = $urandom_range(0, 8);
@ -65,106 +62,95 @@ module program3_tb () ;
else if(pre_length > 26) pre_length = 26; // prevets pre_length > 26 else if(pre_length > 26) pre_length = 26; // prevets pre_length > 26
end end
// ***** instantiate your own top level design here ***** // ***** instantiate your own top level design here *****
top_level dut( top_level dut(
.clk (clk ), // input: use your own port names, if different .clk(clk), // input: use your own port names, if different
.init (init ), // input: some prefer to call this ".reset" .init(init), // input: some prefer to call this ".reset"
.req (start), // input: launch program .req(start), // input: launch program
.ack (done ) // output: "program run complete" .ack(done) // output: "program run complete"
); );
initial begin initial begin
//***** pre-load your instruction ROM here or inside itself ***** file_no = 'b1; // create your output file
// $readmemb("encoder.bin", dut.instr_rom.rom); #0ns strlen = str1.len; // length of string 1 (# characters between " ")
// you may also pre-load desired constants, etc. into if(strlen>52) strlen = 52; // clip message at 52 characters
// your data_mem here -- the upper addresses are reserved for your use for(space=0;space<24;space++) // count leading spaces in message
// dut.data_mem.DM[128]=8'hfe; //whatever constants you want if(str1[space]==8'h20) continue;
file_no = 'b1; // create your output file else break;
#0ns strlen = str1.len; // length of string 1 (# characters between " ")
if(strlen>52) strlen = 52; // clip message at 52 characters
for(space=0;space<24;space++) // count leading spaces in message
if(str1[space]==8'h20) continue;
else break;
// program 1 -- precompute encrypted message
lfsr1[0] = LFSR_init; // any nonzero value (zero may be helpful for debug)
$fdisplay(file_no,"run encryption program; original message = ");
$fdisplay(file_no,"%s",str1); // print original message in transcript window
$fdisplay(file_no,"LFSR_ptrn = 0x%h, LFSR_init = 0x%h, pre_length: %d",lfsr_ptrn,LFSR_init,pre_length);
for(int j=0; j<80; j++) // pre-fill message_padded with ASCII space characters
msg_padded1[j] = 8'h20; //
for(int l=0; l<strlen; l++) // overwrite up to 49 of these spaces w/ message itself
msg_padded1[l+pre_length] = str1[l];
// compute the LFSR sequence
for (int ii=0;ii<63;ii++)
lfsr1[ii+1] = {(lfsr1[ii][5:0]),(^(lfsr1[ii]&lfsr_ptrn))};
// encrypt the message charater-by-character, then prepend the parity // program 1 -- precompute encrypted message
// testbench will change on falling clocks to avoid race conditions at rising clocks lfsr1[0] = LFSR_init; // any nonzero value (zero may be helpful for debug)
for (int i=0; i<64; i++) begin $fdisplay(file_no,"run encryption program; original message = ");
msg_crypto1[i] = (msg_padded1[i] ^ lfsr1[i]); $fdisplay(file_no,"%s",str1); // print original message in transcript window
msg_crypto1[i][7] = ^msg_crypto1[i][6:0]; // prepend parity bit into MSB $fdisplay(file_no,"LFSR_ptrn = 0x%h, LFSR_init = 0x%h, pre_length: %d",lfsr_ptrn,LFSR_init,pre_length);
$fdisplay(file_no,"i=%d, msg_pad=0x%h, lfsr=%b msg_crypt w/ parity = 0x%h", for(int j=0; j<80; j++) // pre-fill message_padded with ASCII space characters
i,msg_padded1[i],lfsr1[i],msg_crypto1[i]); msg_padded1[j] = 8'h20; //
str_enc1[i] = string'(msg_crypto1[i][6:0]); for(int l=0; l<strlen; l++) // overwrite up to 49 of these spaces w/ message itself
end msg_padded1[l+pre_length] = str1[l];
$fdisplay(file_no,"encrypted string = "); // compute the LFSR sequence
for(int jj=0; jj<64; jj++) for (int ii=0;ii<63;ii++)
$fwrite(file_no,"%s",str_enc1[jj]); lfsr1[ii+1] = {(lfsr1[ii][5:0]),(^(lfsr1[ii]&lfsr_ptrn))};
$fdisplay(file_no,"\n");
// run encryption program first to know what to decrypt // encrypt the message charater-by-character, then prepend the parity
// ***** load operands into your data memory ***** // testbench will change on falling clocks to avoid race conditions at rising clocks
// ***** use your instance name for data memory and its internal core ***** for (int i=0; i<64; i++) begin
// for(int m=0; m<61; m++) msg_crypto1[i] = (msg_padded1[i] ^ lfsr1[i]);
// dut.DM.core[m] = 8'h20; // pad memory w/ ASCII space characters msg_crypto1[i][7] = ^msg_crypto1[i][6:0]; // prepend parity bit into MSB
// for(int m=0; m<strlen; m++) $fdisplay(file_no,"i=%d, msg_pad=0x%h, lfsr=%b msg_crypt w/ parity = 0x%h", i,msg_padded1[i],lfsr1[i],msg_crypto1[i]);
// dut.DM.core[m] = str1[m]; // overwrite/copy original string into device's data memory[0:strlen-1] str_enc1[i] = string'(msg_crypto1[i][6:0]);
// dut.DM.core[61] = pre_length; // number of bytes preceding message end
// dut.DM.core[62] = lfsr_ptrn; // LFSR feedback tap positions (9 possible ptrns) $fdisplay(file_no,"encrypted string = ");
// dut.DM.core[63] = LFSR_init; // LFSR starting state (nonzero) for(int jj=0; jj<64; jj++)
for(int m=0; m<24; m++) // load first 24 characters of encrypted message into data memory $fwrite(file_no,"%s",str_enc1[jj]);
dut.DM.core[m+64] = msg_crypto1[m]; $fdisplay(file_no,"\n");
for(int n=24; n<64; n++) begin // load subsequent, possibly corrupt, encrypted message into data memory
// set flipper = 8 or higher to disable bit corruption // run encryption program first to know what to decrypt
flipper = $random;//$random; // value between 0 and 63, inclusive // ***** load operands into your data memory *****
dut.DM.core[n+64] = msg_crypto1[n]^(1<<flipper); // ***** use your instance name for data memory and its internal core *****
if(flipper<8) flipped[n]=1; for(int m=0; m<24; m++) // load first 24 characters of encrypted message into data memory
end dut.DM.core[m+64] = msg_crypto1[m];
#20ns init = 1'b0; // suggestion: reset = 1 forces your program counter to 0 for(int n=24; n<64; n++) begin // load subsequent, possibly corrupt, encrypted message into data memory
#10ns start = 1'b0; // request/start = 1 holds your program counter // set flipper = 8 or higher to disable bit corruption
#60ns; // wait for 6 clock cycles of nominal 10ns each flipper = $random;//$random; // value between 0 and 63, inclusive
wait(done); // wait for DUT's ack/done flag to go high dut.DM.core[n+64] = msg_crypto1[n]^(1<<flipper);
#10ns $fdisplay(file_no,""); if(flipper<8) flipped[n]=1;
$fdisplay(file_no,"program 3:"); end
// ***** reads your results and compares to test bench
// ***** use your instance name for data memory and its internal core ***** #20ns init = 1'b0; // suggestion: reset = 1 forces your program counter to 0
for(int n=0; n<64; n++) begin #10ns start = 1'b0; // request/start = 1 holds your program counter
if(flipped[n+pre_length+space]) begin #60ns; // wait for 6 clock cycles of nominal 10ns each
if(dut.DM.core[n][7]) begin wait(done); // wait for DUT's ack/done flag to go high
$fdisplay(file_no, "error successfully flagged"); #10ns $fdisplay(file_no,"");
score++; $fdisplay(file_no,"program 3:");
end else begin
$fdisplay(file_no, "failed to flag error"); // ***** reads your results and compares to test bench
end // ***** use your instance name for data memory and its internal core *****
end for(int n=0; n<64; n++) begin
else if({flipped[n+pre_length+space],msg_padded1[n+pre_length+space][6:0]} if(flipped[n+pre_length+space]) begin
== dut.DM.core[n]) begin if(dut.DM.core[n][7]) begin
$fdisplay(file_no,"%d bench msg: %s %h dut msg: %h", $fdisplay(file_no, "error successfully flagged");
n, msg_padded1[n+pre_length+space][6:0], msg_padded1[n+pre_length+space], dut.DM.core[n]); score++;
score++; end
end else begin
else $fdisplay(file_no, "failed to flag error");
$fdisplay(file_no,"%d bench msg: %s %h dut msg: %h OOPS!", end
n, msg_padded1[n+pre_length+space][6:0], msg_padded1[n+pre_length+space], dut.DM.core[n]); end
end else if({flipped[n+pre_length+space],msg_padded1[n+pre_length+space][6:0]} == dut.DM.core[n]) begin
$fdisplay(file_no,"score = %d/64",score); $fdisplay(file_no,"%d bench msg: %s %h dut msg: %h", n, msg_padded1[n+pre_length+space][6:0], msg_padded1[n+pre_length+space], dut.DM.core[n]);
#20ns $fclose(file_no); score++;
#20ns $stop; end
else
$fdisplay(file_no,"%d bench msg: %s %h dut msg: %h OOPS!", n, msg_padded1[n+pre_length+space][6:0], msg_padded1[n+pre_length+space], dut.DM.core[n]);
end
$fdisplay(file_no,"score = %d/64",score);
#20ns $fclose(file_no);
#20ns $stop;
end end
always begin // continuous loop always begin // continuous loop
#5ns clk = 1; // clock tick #5ns clk = 1; // clock tick
#5ns clk = 0; // clock tock #5ns clk = 0; // clock tock
end end
endmodule endmodule